{$cfg_webname}
主页 > 电子信息 > 电子 >

基于FPGA的PWM信号发生器设计

来源:wenku163.com  资料编号:WK16313876 资料等级:★★★★★ %E8%B5%84%E6%96%99%E7%BC%96%E5%8F%B7%EF%BC%9AWK16313876
资料介绍

基于FPGA的PWM信号发生器设计(论文13000字)
摘要:本次所设计的基于FPGA的PWM信号发生器,能够根据不同需求产生相应的频率、占空比、相位和幅度的PWM波形。为达到提高芯片的利用率,降低成本以及尽可能减少各个模块之间的时序问题的目的,本次设计采用波形的产生模块和系统的控制模块两个主要部分集中于FPGA内部的方法。通过直接数字式频率合成(Direct Digital Synthesis,DDS)技术来产生PWM波,利用Verilog HDL语言进行编程,考虑到FPGA可再编程的特点,因此可以采用改变各个模块参数的方法来获得所需要的PWM波。利用Altera公司出品的Cyclone IV系列EP4CE6E22C8N的FPGA芯片和Quartus II软件进行初期设计、编译和仿真,并在软件仿真无误后进行软硬件的制作与调试,最终得到不同参数的PWM波形。
关键词:PWM信号发生器;FPGA;直接频率合成技术;Verilog语言;Quartus II

PWM Signal Generator Based on FPGA
Abstract:This design of the FPGA-based PWM signal generator, according to different needs to produce the appropriate frequency, duty cycle, phase and amplitude of the PWM waveform. In order to achieve the purpose of improving the utilization of the chip, reducing the cost and minimizing the timing problem between the modules, the design uses the waveform generation module and the control module of the system. The two main parts focus on the FPGA internal method. Through the direct digital synthesis (DDS) technology to generate PWM waves, the use of Verilog HDL language programming, taking into account the FPGA reprogrammable features, so you can change the parameters of each module to obtain the required PWM wave. The original design, compilation and simulation of Cyclone IV series EP4CE6E22C8N FPGA chip and Quartus II software from Altera Corporation are used to compile, compile and simulate the software. After the software is simulated, the hardware and software are made and debugged, and finally the PWM waveform with different parameters is obtained.
Keywords:PWM signal generator;FPGA;DDS;Verilog;Quartus
 

基于FPGA的PWM信号发生器设计


目录
1. 绪论    6
1.1 研究背景及意义    6
1.2 研究现状    7
1.3 研究内容    7
2. 设计框图    8
2.1 方案论证    8
2.2 方案总体设计    8
3.硬件设计部分    9
3.1 FPGA芯片介绍    9
3.2 DDS技术介绍    10
3.3 PWM模块    11
3.3.1 输入模块    11
3.3.2 时钟电路模块    12
3.3.3 相位累加模块    12
3.3.4 方波数据存储模块    13
3.4 显示模块    14
3.5 复位电路模块    15
3.6 JTAG模块    16
3.7 电源模块    16
4. 软件设计部分    17
4.1 Verilog 语言介绍    17
4.2 开发、仿真工具介绍    19
4.3 程序流程图    20
4.4 程序功能    20
4.4.1 PWM顶层文件模块    20
4.4.2 按键控制模块和消抖模块    20
4.4.3 相位累加模块    21
4.4.4 方波产生及波形存储模块    22
4.4.5 波形生成模块    22
4.4.6 参数处理模块    22
4.4.7 液晶显示模块    23
4.5 程序设计    23
5. 软/硬件调试    28
5.1 硬件调试    28
5.2 软件调试    28
5.3 软硬件联合调试    29
5.4 结果展示    29
6.总结    30
参考文献    31
致谢    32
附录    32

推荐资料