{$cfg_webname}
主页 > 电子信息 > 电子 >

基于FPGA的VGA彩条信号发生器的设计与实现(新)

来源:wenku163.com  资料编号:WK1632630 资料等级:★★★★★ %E8%B5%84%E6%96%99%E7%BC%96%E5%8F%B7%EF%BC%9AWK1632630
资料介绍

摘  要
VGA (视频图形阵列)作为一种标准的显示接口得到广泛的应用。论文中依据VGA显示的原理,在讨论EDA软件工具平台下的FPGA设计流程的前提下,利用VHDL作为逻辑描述的手段,在Altera公司的QuartusII软件环境下完成VGA彩条信号显示控制器的设计。VHDL硬件描述语言对电子线路进行表达和设计是EDA建模和实现技术中最基本和最重要的方法,在本论文中作为开发程序语言。在QuartusII6.0环境下,完成程序的编译和电路的框图的设计。利用QuartusII软件,进行仿真完成电路的调试工作,来实现功能。
利用FPGA现场可编程逻辑器件产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于彩色等离子显示器的电路开发,方便彩色等离子显示器驱动控制电路的调试。VGA彩条信号发生器既可以用于调试彩色PDP电路,也可以用来作为PDP显示器的检测信号源。

关键词:视频图形阵列;硬件描述语言;现场可编程逻辑器件;彩色等离子显示器

Based on FPGA VGA garland signal generating
Abstract
As a standard display interface, VGA(Video Graphics Array) is widely used. In this article, according to the principle of VGA display,completed the design of VGA module based on QuartusII softerware environment while using VHDL as a means of logic descrip-tion as well as discussed the process of the design of FPGA based on EDA softerware platform。The VHDL hardware description language carries on the expression and the design to the electronic circuit is the EDA modelling and realizes in the technology to be most basic and the most important method, takes the development program language in the present paper. Under the QuartusII6.0 environment, completes the procedure the translation and electric circuit's diagram design. Using the QuartusII software, carries on the simulation to complete electric circuit's debugging work, realizes the function.
    Produces the VGA succession signal and the garland image signal using the FPGA scene programmable logic component, and takes it as the supply oscillator, applies in the colored plasma monitor's electric circuit development, facilitates the colored plasma monitor to actuate control circuit's debugging. The VGA garland signal generating device already may use in debugging the colored PDP electric circuit, may also use for to take the PDP monitor's examination supply oscillator.

Key Words:VGA;PDP;EDA;QuartusII;FPGA

目  录 21700字
摘  要 I
Abstract II
目  录 III
引  言 1
1  EDA简介 2
1.1  EDA概述 2
1.2  EDA常用软件 2
1.2.1  电子电路设计与仿真工具 2
1.2.2  PCB设计软件 3
1.2.3  IC设计软件 3
1.2.4  FPGA设计工具 4
1.3  EDA的应用 5
1.4  EDA技术的发展趋势 5
2  FPGA芯片简介与使用 7
2.1  FPGA介绍与基本特点 7
2.2  FPGA与DSP的比较 8
2.3  FPGA及其辅助模块 9
3  关于Quartus II软件的使用 12
3.1  Quartus II简介 12
3.2   QuartusII软件概述 13
3.3  QuartusII设计流程简介 14
4  硬件描述语言 16
4.1  概 述 16
4.2  VHDL的模型结构 17
4.2.1  设计实体(DESIGN ENTITY) 17
4.3   标识符、数据对象、数据类型及属性 18
4.3.1   标识符 (IDENTFIERS) 18
4.3.2   数据对象 (DATA OBJECTS) 19
4.3.3  数据类型 (DATA TYPES) 19
4.3.4  属性(ATTRIBUTES) 20
4.4   VHDL最基本的描述方法 20
4.4.1  进程语句(PROCESS STATEMENT) 20
4.4.2  并行(并发)语句(CONCURRENT STATEMENTS) 20
4.4.3  顺序语句 (SEQUENTIAL STATEMENTS_ 21
5  VGA时序信号及彩条信号的产生 24
5.1 VGA的工业用途 24
5.1.1  PDP 24
5.1.2  PDP的显示信号的数据流程 24
5.2   VGA的参数和CRT 25
5.2.1  VGA工业标准 25
5.2.2  CRT的工作过程 26
5.3  VGA信号时序 26
(毕业设计)
5.4   VGA时序信号产生模块 27
5.5  彩条信号产生模块 28
5.6  VHDL程序 29
5.7   Quarrtus 仿真 31
5.8  工程仿真 38
结  论 40
参考文献 41
致谢 42

推荐资料